LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_SIGNED.ALL ; USE IEEE.STD_LOGIC_ARITH.ALL ; ENTITY Additionneur IS GENERIC (Tadd : TIME ; NbBits : INTEGER ); PORT ( Entree1 : IN Std_Logic_Vector(NbBits-1 DOWNTO 0) ; Entree2 : IN Std_Logic_Vector(NbBits-1 DOWNTO 0) ; Sortie : OUT Std_Logic_Vector(NbBits-1 DOWNTO 0) ); END Additionneur ; ARCHITECTURE comportementale OF Additionneur IS BEGIN ProcessAdditionneur : PROCESS (Entree1, Entree2) VARIABLE in1, in2, s : INTEGER ; BEGIN in1 := CONV_INTEGER (Entree1) ; in2 := CONV_INTEGER (Entree2) ; s := in1 + in2 ; Sortie <= CONV_STD_LOGIC_VECTOR(s, NbBits) AFTER Tadd ; END PROCESS ProcessAdditionneur ; END comportementale ;